User:Sj/Log/bundles, interfaces, and executables

From OLPC
< User:Sj‎ | Log
Revision as of 16:52, 3 June 2007 by Sj (talk | contribs) (+bundles)
(diff) ← Older revision | Latest revision (diff) | Newer revision → (diff)
Jump to: navigation, search

The first notion of bundle is an executable collection of code and supporting material, along with the objects that it can read or store. Bundles are shared or distributed as a whole; objects they create can be shared or reused individually.

There is also a notion of generic bundle -- a simple way to throw a few objects together and label them, for the purposes of sharing.